1
0

Merge branch 'gh60'

This commit is contained in:
tmk 2013-02-10 23:01:20 +09:00
commit ed7be7ba35

View File

@ -44,9 +44,6 @@
int main(void) int main(void)
{ {
DEBUG_LED_CONFIG;
DEBUG_LED_OFF;
// set for 16 MHz clock // set for 16 MHz clock
CPU_PRESCALE(0); CPU_PRESCALE(0);