1 Commits (0c4b54db133cb7fe34839027126964f8dbabe950)

Author SHA1 Message Date
  wolfv6 0c4b54db13 add sr0_LED_shiftRegs.ino, LED_shiftRegs, LED_shiftRegs 7 years ago
  wolfv6 25c644f012 rename LED_IOE to LED_Port, update tutorials and sketches 7 years ago
  wolfv6 9c99fd7ba7 update sketches 7 years ago
  wolfv6 b5b24111e7 update keybrd_4c_split_keyboard_with_IOE.ino 7 years ago
  wolfv6 7a9228e7dc add keybrd_5b_LED_on_IOE.ino 7 years ago
  wolfv6 9f910d73ad update split keyboard tutorials 4a 4b 4c and sketches 7 years ago
  wolfv6 dad142eaa4 reword documentation - bitwise to bit pattern or bits 7 years ago
  wolfv6 2e77a18847 update diagrams and tutorials: 0 1 2 3a 7 years ago
  wolfv6 c2c0c02208 document 7 years ago
  wolfv6 4b1b53a76a fixed MCP23S17 port classes and keybrd_4c_split_with_IOE.ino 7 years ago
  wolfv6 ae6b21b712 add keybrd_PCA9655E.ino 7 years ago
  wolfv6 5c8890312d rename PortIOE.ADDR to DEVICE_ADDR 7 years ago
  wolfv6 caa29dec63 add MCP23S17 port files 7 years ago